Use edges_reroute_except() instead of edges_reroute() + set_irn_n().
[libfirm] / vc2005 /
drwxr-xr-x   ..
-rw-r--r-- 180831 libfirm.vcproj