Added a computation for spill-slot (offset) assignment.
[libfirm] / ir / be / beifg_impl.h
2005-12-08 Sebastian HackMade everything really kaputt