added assert
authorChristian Würdig <chriswue@ipd.info.uni-karlsruhe.de>
Thu, 16 Mar 2006 13:22:12 +0000 (13:22 +0000)
committerChristian Würdig <chriswue@ipd.info.uni-karlsruhe.de>
Thu, 16 Mar 2006 13:22:12 +0000 (13:22 +0000)
ir/be/becopyheur.c

index 1dfb38f..3f642d2 100644 (file)
@@ -525,6 +525,8 @@ static void ou_optimize(unit_t *ou) {
        arch_put_non_ignore_regs(aenv, cls, ign_regs);
        bitset_and(pos_regs, ign_regs);
 
+       assert(bitset_popcnt(pos_regs) != 0 && "No register is allowed for this node !!?");
+
        /* create new qnode */
        bitset_foreach(pos_regs, i)
                ou_insert_qnode(ou, new_qnode(ou, i));